Cypress Semiconductor Perform CY7C1372D Betriebsanweisung

Stöbern Sie online oder laden Sie Betriebsanweisung nach Software Cypress Semiconductor Perform CY7C1372D herunter. Cypress Semiconductor Perform CY7C1372D User guide Benutzerhandbuch

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 97
  • Inhaltsverzeichnis
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen
Seitenansicht 0
FrameWork Logic Users Guide
Innovative Integration
Copyright 2005. All rights reserved.
All information in this document is property of Innovative Integration and all rights are reserved.
This information is provided solely for the purpose of supporting use of Innovative Integration
products and software. No further license is granted or implied by this publication.
Revision Changes Author Date
1.0 Initial release. DPM 10/19/05
Table 1: Document Revision History
Innovative Integration FrameWork Logic User Guide 1
Seitenansicht 0
1 2 3 4 5 6 ... 96 97

Inhaltsverzeichnis

Seite 1 - FrameWork Logic Users Guide

FrameWork Logic Users GuideInnovative IntegrationCopyright 2005. All rights reserved. All information in this document is property of Innovative Int

Seite 2 - 1 Table of Contents

The application development begins with the FrameWork Logic code for the product you are using. In many cases, the example application code provides

Seite 3

Code for your application layer design can be created in a number of ways: written in VHDL or Verilog, created in MATLAB, or included as a black box n

Seite 4 - 2 List of Figures

5.1.2 VHDL SimulationSimulation is an important part of the logic development process. All designs that are targeted at using the large logic devic

Seite 5

Simulation can be started in either the Xilinx ISE environment, or by using the ModelSim tool in a standalone mode. In Xilinx ISE, select the test be

Seite 6 - 3 List of Tables

When you enter the ModelSim tool from Xilinx ISE, it will execute a default macro that compiles the files and begins the simulation. If you enter in

Seite 7 - 4 Introduction

Innovative Integration FrameWork Logic User Guide 15 Illustration 6: Configuring X

Seite 8 - 4.4 Where to Get Help

When the design is loading, you will see each components loaded in the transaction window as shown here. If anything fails to load, check that its por

Seite 9 - 5 Logic Development Process

Once the design is loaded, the design hierarchy is shown in the Workspace window with the test bench at the top of the hierarchy. Here is an example.

Seite 10 - Hardware Interface Layer

Once you are inside the ModelSim environment, you should be able to use the tools to run simulations of the design. The wave window is many times the

Seite 11 - (your logic does here)

5.2 Logic Development using MATLAB SimulinkMATLab Simulink provides a powerful method of developing logic using a high level design tool that integra

Seite 12 - 5.1.2 VHDL Simulation

1 Table of ContentsTable of Contents 1 Table of Contents...

Seite 13

5.2.1 InstallationYou must have installed MATLAB Simulink prior to installing the product MATLAB support. The co-simulation library is provided as a

Seite 14

4. Quit MATLAB and restart..Now the product library should be visible in the Simulink Library Browser window.The library is now ready for use in MATLA

Seite 15

5.2.2 MATLAB Simulink UseIn MATLAB, you can make new block diagrams for logic designs using the libraries for Xilinx and the specific product. Creat

Seite 16

An important feature in the Simulink environment is the ability to use Simulink for active co-simulation. This means that real hardware can be access

Seite 17

To get signals from MATLAB into the hardware, the SRAM can be used as an arbitrary waveform generator when it is loaded with a test waveform that is

Seite 18

5.3 Making the Physical LogicAfter the logic has been created, either with HDL or MATLAB, it must be implemented in the logic through a process of pl

Seite 19

process. Double-clicking the icon allows you to configure the Xilinx ISE tools that are used for the logic build just as you would for an HDL design.

Seite 20 - 5.2.1 Installation

File extensionContents What to Look For.BLD The output from the NGDBuild process that link all the logic togetherThere should be no errors. This prog

Seite 21

The Xilinx IMPACT application is used to load the logic into the application FPGA. The IMPACT tool may be invoked from the Xilinx ISE tool or as a sta

Seite 22 - 5.2.2 MATLAB Simulink Use

The next screen will prompt for what type of configuration to perform. Choose the Boundary Scan Mode as shown.Next, IMPACT will prompt for the JTAG c

Seite 23

6.1.4.6 DSP Interface...55 6.1.4.6.1 DSP Bus EMIF A...

Seite 24 - SRAM used

If all goes well, IMPACT will find all the devices in the JTAG chain and display them as shown in this example. If not, check the cable connection to

Seite 25

5.4.2 Loading the Logic Using PROM Images 5.4.2.1 Making the Device ImageFor all Innovative products, an EXORMacs format (.EXO) text file must be ge

Seite 26

The next screen will prompt you to either configure devices or make a configuration file. To make a PROM image, select Prepare Configuration Files.In

Seite 27 - 5.4.1 JTAG

For a generic PROM file, used for most Innovative products, on the next screen you should select PROM file for the image type.The PROM properties must

Seite 28

In PROM Configuration screen, select the following options:• Select Parallel PROM.• Select EXO as File Format.• Memory Fill Value - 0xFFthen provide a

Seite 29

You will then be prompted before the file is made – you last chance to not overwrite you last PROM if you did not change the name or file path. Innova

Seite 30

The final screen will report successful operation. Innovative Integration FrameWork Logic User Guide

Seite 31

You are now ready to load the PROM image using one of the tools provided by Innovative or by your application. Each family of cards has a specific log

Seite 32

5.4.2.2 Velocia DownloadThe Velocia family of products, including Quixote and Quadia, use a simple Windows application to download logic images to th

Seite 33

also. These are detailed in the software manual. 5.5 DebuggingIt is inevitable that the logic will require some debugging and it is best to have a st

Seite 34

2 List of FiguresIllustration IndexIllustration 1: VHDL Development Process... 10I

Seite 35

Another built-in test method is to use data checkers in the logic sprinkled through the data chain help to spot the source of problems. If you have a

Seite 36

to pre-trigger or post-trigger in the software which makes trigger design easier.Here is one of the common cables used for debug, just for reference.

Seite 37

5.5.2.1 Declaration Of ChipScope Core in VHDLThe ChipScope core is simple to use. Just connect up the signal for observation to the data ports, the t

Seite 38 - 5.4.2.2 Velocia Download

Here is its instantiation during a debug session.Innovative Integration FrameWork Logic User Guide

Seite 39 - 5.5.1 Built-in Test Modes

Innovative Integration FrameWork Logic User Guide 44 ---------------------------

Seite 40 - 5.5.2 Xilinx ChipScope

In this case, the designer was using the DSP EMIF A clock as the ChipScope core clock and had several triggers including DSP memory decodes and softwa

Seite 41 - CAUTION:

6 FrameWork Designs 6.1 Quixote 6.1.1 OverviewThe Quixote has two FPGAs on it : a Xilinx Spartan2 (200K gates) and a Xilinx Virtex2 (2M or 6M gates).

Seite 42

Function Tool Vendor Tool NameSynthesis, Place and Route Xilinx ISE 7.1 or aboveSimulation Mentor Graphics ModelSim 6.1 Bit and PROM Image Creation Xi

Seite 43

As can be seen in the block diagram, the EMIF A is used as the primary interface to the analog IO. The EMIF A memory space is decoded so that control

Seite 44

CE SpaceAddress (Hex) Description Logic DeviceR/W CE TypeBCE0 0x60000000 PCI FIFO Spartan2 R/W BurstBCE1 0x64010000 Readback Register (for test) Spar

Seite 45

Illustration 40: ChipScope Core Declarations... 43Illustration 41: ChipScope Core Inst

Seite 46 - 6 FrameWork Designs

CE SpaceAddress (Hex) Description Logic DeviceR/W CE TypeConfiguration0x80140000 A/D Stop Trigger Selection Virtex2 W Async0x80160000 A/D Stop Trigger

Seite 47

CE SpaceAddress (Hex) Description Logic DeviceR/W CE Type0x80520000 SBSRAM 0 Data Virtex2 R/W Async0x80540000 SBSRAM 1 Data Virtex2 R/W Async0x8056000

Seite 48 - 6.1.4.2 Memory Map

6.1.4.3.1 Clock SourcesThere are several clocks available to the designer in the logic that are intended for different functions as shown in the foll

Seite 49 - R/W CE Type

The clocks buffering, phase lock components and distribution is controlled in the ii_quixote_clocks component in the logic. The system clock, commonl

Seite 50

The data flows from the A/D into the external FIFO under control of the triggering logic. The hardware interface component receives the data from the

Seite 51 - 6.1.4.3 Clocks

The data flows to the DAC from the external FIFO under control of the triggering logic. The hardware interface component takes data from the DSP FIFO

Seite 52 - 6.1.4.3.1 Clock Sources

The Quixote EMIF A memory decodings are arranged so that ACE0 is asynchronous and ACE1 is synchronous burst memory. Generally speaking, the async peri

Seite 53 - 6.1.4.4 A/D Interface

needed. Normally, this is set by the DMA channel.Since there are very few timing adjustments in the DSP EMIF control for sync registers, logic designe

Seite 54 - 6.1.4.5 DAC Interface

the logic. This allows the best timing for getting the signal on-chip since the DSP has rather poor setup timing. The decoding is all done using the

Seite 55 - 6.1.4.6.1 DSP Bus EMIF A

defined for the ii_quixote_dsp_emif_a component. 6.1.4.6.2 DSP Bus EMIF BThe EMIF B interface in the Framework Logic is primarily used as a pass-throu

Seite 56

3 List of TablesIndex of TablesTable 1: Document Revision History...

Seite 57

File name (.mdl) Contentsdownsample Illustrates the use of the A/D, DAC and SRAM components to make a loop in the logic from A/D to DAC and includes s

Seite 58

6.1.6.2 ConstraintsThere are several important classes of constraints used by the Framework Logic : timing, pin placement and IO standards. These con

Seite 59 - 6.1.4.7 Event Log

NET "beclkout1" TNM_NET = "beclkout1";NET "aeclkout1" TNM_NET = "aeclkout1";As can be seen, EMIF A clock (aecl

Seite 60 - 6.1.6 Synthesis and Fitting

There are several constraints internal for the DCM and Block RAMs such as INST "inst_clocks/Inst_aclk_dcm/dcm_inst" LOC = "DCM_X1Y0&qu

Seite 61 - 6.1.6.2.1 Timing Constraints

Innovative Integration FrameWork Logic User Guide 64 Design Information-----------

Seite 62

Note that the map report file shows that the 2M device for example uses 57% of the slices, but 42% of the flip-flops so the logic is loosely packed.

Seite 63 - 6.1.6.3 Logic Utilization

6.1.7 Place and RouteThe Xilinx tools report the result of the place and route process in the PAR report. This PAR report shows the timing results f

Seite 64

Innovative Integration FrameWork Logic User Guide 67 -----------------------------

Seite 65

The extract of the PAR report shown here is for the 6M device. Notice that the timing constraints are compared to the actual timing achieved. If you

Seite 66 - 6.1.7 Place and Route

6.1.8.2 Simulation Models for QuixoteThere are several models used in the Quixote simulations for system level testing.Model Filename Functional Beha

Seite 67

4 IntroductionThis manual is written to assist in the creation, implementation and testing of custom logic for Innovative Integration products. The s

Seite 68 - 6.1.8 Simulation

6.1.8.3 Simulation notesDuring simulation, you may want to reduce the DCM reset time period to reduce simulation time. The actual hardware requires a

Seite 69

6.2 PMC UWB 6.2.1 Overview 6.2.2 Target Devices 6.2.3 Development Tools 6.2.4 Application ExampleInnovative Integration

Seite 70 - 6.1.9.2 Loading over JTAG

7 Framework Library 7.1 Hardware Components 7.1.1 Quixote Hardware ComponentsInnovative Integration FrameWo

Seite 71 - 6.2.4 Application Example

7.1.1.1 ii_quixote_adcSupported Platforms: QuixoteDescription: This component is an interface between the Quixote application logic and the A/D and i

Seite 72 - 7 Framework Library

Port Direction Functionreset In Global reset for the componentd_clk In The system clock for the system interface.d_ce In The system clock enable.rden

Seite 73 - 7.1.1.1 ii_quixote_adc

The external FIFO is TI SN74V283, or equivalent. The programable flags are set as shown in this table. For more details, consult the TI datasheet.MA

Seite 74

7.1.1.2 ii_quixote_dacSupported Platforms: QuixoteDescription: This component is an interface between the Quixote application logic and the DAC an

Seite 75 - MATLAB Simulink Component:

Port Direction Functionreset In Global reset for the componentd_clk In The system clock for the system interface.d_ce In The system clock enable.wren

Seite 76 - 7.1.1.2 ii_quixote_dac

Port Direction Functiondac_ext_fifo_fsel(1:0) Out External FIFO flag select. See table below.dac_ext_fifo_ld Out External FIFO load. Sets FIFO flag

Seite 77

7.1.1.3 ii_quixote_dsp_emif_aSupported Platforms: QuixoteDescription: This component is the DSP External Memory InterFace (EMIF) A bus interface. It

Seite 78

Logic development and are discussed in this manual. If you are using other tools, they should have similar capabilities. 4.2 Organization of this Ma

Seite 79 - Description:

Innovative Integration FrameWork Logic User Guide 80 Illustration 61: ii_quixote_e

Seite 80

Port Direction Functionreset In Global reset for the componentd_clk In The system clock for the system interface.d_ce In System clock enable. fs In S

Seite 81 - Port Direction Function

Port Direction Functiondsp_a_ofifo_rst[7:0] In Array of resets to the DSP input FIFOs.dsp_a_ofifo_rd_count[7:0] Out Array of DSP output FIFO read cou

Seite 82

7.1.1.4 ii_quixote_dioSupported Platforms: QuixoteDescription: This component is a simple digital IO port used on Quixote that has an input register

Seite 83

Port Direction Functionreset In Global reset for the componentd_clk In The system clock for the system interface.d_ce In System clock enable. din[31:

Seite 84 - Target Devices: any

7.1.1.5 ii_quixote_sbsramSupported Platforms: QuixoteDescription: This component provides an interface from the application logic to synchronous burs

Seite 85

Port Direction FunctionAddr[19:0] Out SBSRAM address bitslbo_n Out SBSRAM burst mode; 0= linear (only mode supported)Sclk Out 2x clock to SRAMCke_n Ou

Seite 86 - MATLAB Simulink Components:

These two components are used to provide the interface to the Quxiote SRAM under Simulink. The Quixote SBSRAM 0 component is the hardware interface,

Seite 87

7.1.1.6 ii_quixote_dsp_emif_bSupported Platforms: QuixoteDescription: This component is the interface between the Quixote application logic DSP EM

Seite 88

Port Direction FunctionReset In System reset.ref_clk In Reference clock. On Quxiote this is a 10 Mhz clock.bm_reset In Bus master reset bit. Resets

Seite 89

5 Logic Development ProcessThe FrameWork Logic system supports two logic development methods: VHDL, or MATLab Simulink, or a combination. Each syste

Seite 90 - Target Devices : any

Port Direction Functionfifo_rd_d[15:0] In Data bus to the PCI write FIFO from DSP EMIF B.fifo_rd_en_n Out PCI read FIFO write enable, active low.fifo_

Seite 91

7.1.1.7 ii_quixote_clocksSupported Platforms: QuixoteDescription: This component provides the clocks for the application logic and external devices.

Seite 92

Port Direction FunctionReset In System reset.dsp_aeclk_i In DSP EMIF A clock input.dsp_beclk_i In DSP EMIF B clock input.ref_clk_i In Reference clock

Seite 93

7.1.1.8 ii_quxiote_pmc_j4Supported Platforms: QuixoteDescription: This component is a simple port for the PMC J4 connections that is easily customiz

Seite 94 - Target Device : Any

Port Direction FunctionReset In System reset.clk In Clock inputce In Clock enable; unusedDin[31:0] In Data bus inputpmc_j4_wr In PMC J4 output registe

Seite 95 - 8 Generic Components

8 Generic Components 8.1 ii_event_logSupported Platforms: QuixoteDescription: The event log component is to provide a mechanism for recording the chr

Seite 96

Innovative Integration FrameWork Logic User Guide 96 Illustration 65: ii_event_log

Seite 97

Port Direction Functionclk In Clock inputce In Clock enable; unusedref_clk In Reference clock for time stampingreset In Master reset. Clears all pend

Kommentare zu diesen Handbüchern

Keine Kommentare